ASML « Terug naar discussie overzicht

ASML 2024

5.879 Posts, Pagina: « 1 2 3 4 5 6 ... 61 62 63 64 65 66 67 68 69 70 71 ... 290 291 292 293 294 » | Laatste
Scan
0
TOKYO, Jan 30 (Reuters) - Japan's Canon <7739.T> said on Tuesday that early interest in its new lithography chipmaking machines is exceeding expectations as it makes a major push to close the gap with Dutch company ASML .
ASML has become Europe's most valuable tech company with its extreme ultraviolet (EUV) lithography machines, which use beams of light to create circuitry and are employed by TSMC <2330.TW> and Intel to create cutting-edge chips.
In October, Canon unveiled its new nanoimprint lithography machines, which stamp circuit patterns directly onto wafers. The company emphasises the low cost and low power consumption of the tools, with their prospects a topic of industry debate.
While it is too early to say when the machines will contribute to Canon sales, "there has been a bigger response than we expected," Minoru Asada, head of Canon's finance and accounting headquarters, told reporters.
The company aims to roll out the machines for production of memory chips before expanding to other areas, Asada said.
Canon expects to sell 247 of its existing lithography machines in the financial year ending December compared to 187 units a year earlier, boosted by demand for power chips and graphics processing units (GPU) for artificial intelligence tasks.
*voetnoot
4
ASML heeft om zich heen zoveel specialistische leveranciers (o.a. Zeiss) dat het concurrenten zeer moeilijk wordt gemaakt. ASML loop (licht)jaren voor en heeft de orderportefeuille niet voor niets vol. Hoogstaande bedrijven willen ook alleen maar zaken doen met de BESTE dus hebben hier ook zeker de financiën voor over. Chipsacts en eigen inkoop geven ook een mooie steun. En ook investeerders en analisten geven niet voor niks zulke mooie koersdoelen af. Die zie ik nml niet bij canon.
zjeeraar
3
quote:

Inion schreef op 31 januari 2024 11:56:

Nou, dan kan de goegemeente zich daar weer druk om maken. ;-)
Tja, daar willen de handelaren graag gebruik van maken om wat onrust in de koers te brengen.......
Het bericht van Canon heeft vorig jaar ook al eens op de site gestaan ...... dus neem ik het maar voor kennisgeving aan.

Dacht je nu werkelijk dat Canon de techniek van ASML zomaar ff zou kunnen evenaren..... in de verste verte dus niet......
Gooss
0
Mag ik, als leek en aandeelhouder van ASML, eens een vraag stellen over een ander bedrijf/aandeel uit de sector? Eerder een vraag voor de technisch "slimmere" leden.
Begin januari las ik een artikel van Kepler Cheuvreux, dat een toptrio voor 2024 in de chipsector naar voor bracht. Daar viel me het bedrijf SOITEC op. Klopt dit dat zij producent zijn van materialen waarmee wafers geproduceerd worden? Kan je daarmee ook technologisch leider zijn? Zit dat deel van de sector vooraan in de cyclische fases?
DeZwarteRidder
0
Canon has recently revealed its FPA-1200NZ2C, a nanoimprint semiconductor manufacturing tool that can be used to make advanced chips. The device uses nanoimprint lithography (NIL) technology as an alternative to photolithography, and can theoretically challenge extreme ultraviolet (EUV) and deep ultraviolet (DUV) lithography tools when it comes to resolution.

Unlike traditional DUV and EUV photolithography equipment that transfers a circuit pattern onto a resist-coated wafer through projection, nanoimprint tool employs a different technique. It uses a mask, embossed with the circuit pattern, which directly presses against the resist on the wafer. This method eliminates the need for an optical mechanism in the pattern transfer process, which promises a more accurate reproduction of intricate circuit patterns from the mask to the wafer. In theory, NIL enables formation of complex two- or three-dimensional circuit patterns in a single step, which promises to lower costs. NIL itself is not a new technology, but it has remained in parallel development over the years, while the challenges involved in further improving photolithography have Canon believing that now is a good time for a second-look.

Canon says that its FPA-1200NZ2C enables patterning with a minimum linewidth (critical dimensions, CD) of 14 nm, which is good enough to 'stamp' a circa 26-nm minimum metal pitch, and therefore suitable for 5 nm-class process technologies. That would be in line with capabilities of ASML's Twinscan NXE:3400C (and similar) EUV lithography scanners with a 0.33 numerical aperture (NA) optics.

Meanwhile, Canon says that further refinements of its technology, its tool can achieve finer resolutions that can enable 3 nm and even 2 nm-class production nodes.

Nanoimprint lithography offers several compelling advantages over photolithography. Primarily, NIL excels in resolution, enabling the creation of structures at the nanometer scale with remarkable precision without using photomasks. This technology bypasses the diffraction limits encountered in conventional photolithography, allowing for more intricate and smaller features. Additionally, NIL operates without the necessity of complex optics or high-energy radiation sources, leading to potentially lower operational costs and simpler equipment.

Another advantage of NIL is its direct patterning capability, enabling the reproduction of three-dimensional nanostructures effectively. Such functionality makes NIL a potent tool in the production of photonics and other applications where three-dimensional nano-patterns are essential. The technology also facilitates better pattern fidelity and uniformity.

However, NIL also presents certain challenges and limitations. One notable issue is its susceptibility to defects due to the direct contact involved in the imprinting process. Particles or contaminants present on the substrate or the mold can lead to defects, which may affect the overall yield and reliability of the manufacturing process. This necessitates impeccable process control and cleanliness to maintain consistent output quality.

Additionally, NIL, in its traditional form, is a serial process, which limits its throughput and production capacity. Unlike photolithography, which can process entire wafers or large areas in a parallel fashion, NIL often involves processing smaller areas sequentially. This poses great challenges in scaling the technology for high-volume manufacturing of chips, which limits its usage for chip manufacturing. Meanwhile, NIL can be used to create photomasks for EUV and DUV. Also, it can theoretically be used to create patterned media for hard disk drives.

Source: Canon
zjeeraar
3
quote:

*voetnoot schreef op 31 januari 2024 12:21:

ASML heeft om zich heen zoveel specialistische leveranciers (o.a. Zeiss) dat het concurrenten zeer moeilijk wordt gemaakt. ASML loop (licht)jaren voor en heeft de orderportefeuille niet voor niets vol. Hoogstaande bedrijven willen ook alleen maar zaken doen met de BESTE dus hebben hier ook zeker de financiën voor over. Chipsacts en eigen inkoop geven ook een mooie steun. En ook investeerders en analisten geven niet voor niks zulke mooie koersdoelen af. Die zie ik nml niet bij canon.
En wat dacht je van deze.......

ASML heeft Cymer in 2013 overgenomen om de ontwikkeling van extreem ultraviolet (EUV) halfgeleiderlithografie te versnellen.
en om laser- en lithografische lichtbrontechnologie te ontwikkelen voor de halfgeleiderindustrie.

Cymer, gevestigd in San Diego, Californië en mede daardoor hebben onze Amerikaanse vrienden versus China ....... ASML betrokken bij beperking van leveringen hiervan, omdat er toch een Amerikaans tintje aan zit........
ToTheTop
2
quote:

zjeeraar schreef op 31 januari 2024 13:39:

[...]

En wat dacht je van deze.......

ASML heeft Cymer in 2013 overgenomen om de ontwikkeling van extreem ultraviolet (EUV) halfgeleiderlithografie te versnellen.
en om laser- en lithografische lichtbrontechnologie te ontwikkelen voor de halfgeleiderindustrie.

Cymer, gevestigd in San Diego, Californië en mede daardoor hebben onze Amerikaanse vrienden versus China ....... ASML betrokken bij beperking van leveringen hiervan, omdat er toch een Amerikaans tintje aan zit........
Dat is gewoon een zakelijke transactie, het gaat hier niet om wapensystemen.
*voetnoot
2
quote:

ToTheTop schreef op 31 januari 2024 13:57:

[...] Dat is gewoon een zakelijke transactie, het gaat hier niet om wapensystemen.
Wie heeft het dan over wapensystemen?
matin
0
Even een vraagje.

Verwacht iemand nog een correctie komende weken op ASML?

En zo ja tot welk niveau?
AlexR
3
twitter.com/ASMLcompany/status/175268...

Time to nerd out! Here are some key specs of our High NA EUV system:

Imaging resolution: 8 nanometers
Productivity: =185 wafers per hour (at 20mJ/cm²) with a roadmap to >220 in 2025
Optics type: Anamorphic mirrors
System dimensions: 14 m x 4 m x 4 m
System weight: 150,000 kg
zjeeraar
2
quote:

*voetnoot schreef op 31 januari 2024 14:27:

[...]
Wie heeft het dan over wapensystemen?
Idd, niemand, echter is in de States ontwikkelt, dus zodoende mijn opmerking.....
ToTheTop
0
quote:

*voetnoot schreef op 31 januari 2024 14:27:

[...]
Wie heeft het dan over wapensystemen?
Je doet als of het de normaalste zaak van de wereld is, wat de VS bij ASML doet. ASML kocht het bedrijf omdat ze nog al vertraging ondervonden bij dat bedrijf en dat zal ook wel zo zijn redenen hebben gehad.
zjeeraar
2
De gecompliceerde AI chips kunnen momenteel alleen maar gemaakt worden op de de geavanceerde machines van ASML.

Corrigeer me als dat niet zo is.....(!!)....
*voetnoot
5
quote:

matin schreef op 31 januari 2024 14:33:

Even een vraagje.

Verwacht iemand nog een correctie komende weken op ASML?

En zo ja tot welk niveau?
Correctie naar boven ja.
HogerOfLager
0
quote:

matin schreef op 31 januari 2024 14:33:

Even een vraagje.

Verwacht iemand nog een correctie komende weken op ASML?

En zo ja tot welk niveau?
Ligt wel voor de hand. Slingeren alweer een paar dgn rond de 800, dus dat lijkt voorlopig even de top.
Inion
0
zjeeraar
1
Kijk, met het kopen van o.a. Zeiss en Cymer heeft ASML een gouden zet gedaan in de verdere ontwikkelingen voor productie van o.a, gecompliceerde AI chips.....

EXE- of 'High NA'-systemen zijn de nieuwste generatie EUV-lithografie.
Met een numerieke apertuur (NA) van 0,55 zorgen hun innovatieve nieuwe optica voor een hoger contrast en printen ze met een resolutie van slechts 8 nm.

En dan heb ik het nog niet over de vele patenten die op een dergelijke machine van toepassing zijn.....

Canon staat nog in de kinderschoenen......hahah
zjeeraar
0
Boven de 800++ sluiten lijkt me best realistisch als je de mooie ontwikkelingen van ASML ziet.....
5.879 Posts, Pagina: « 1 2 3 4 5 6 ... 61 62 63 64 65 66 67 68 69 70 71 ... 290 291 292 293 294 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 3 mei 2024 17:38
Koers 835,900
Verschil +24,500 (+3,02%)
Hoog 836,800
Laag 815,800
Volume 434.464
Volume gemiddeld 0
Volume gisteren 624.535